Posted inBusiness

Intel drives process and packaging developments

The company reveals the roadmap of process and packaging innovations aimed at powering next wave of products through 2025 and beyond

Intel drives process and packaging developments
Intel drives process and packaging developments

Intel Corporation has announced a detailed process and packaging technology roadmap.

In addition to announcing its first new transistor architecture in more than a decade – RibbonFET along with PowerVia, an industry-first new backside power delivery method, the company highlighted its planned swift adoption of next-generation extreme ultraviolet lithography (EUV), referred to as High Numerical Aperture (High NA) EUV.

Intel is positioned to receive the first High NA EUV production tool in the industry.

“Building on Intel’s unquestioned leadership in advanced packaging, we are accelerating our innovation roadmap to ensure we are on a clear path to process performance leadership by 2025,” Intel CEO Pat Gelsinger said during the global Intel Accelerated webcast.

“We are leveraging our unparalleled pipeline of innovation to deliver technology advances from the transistor up to the system level. Until the periodic table is exhausted, we will be relentless in our pursuit of Moore’s Law and our path to innovate with the magic of silicon.”

Intel technologists described the following roadmap with the new node names and the innovations enabling each node:

Intel 7 delivers an approximately 10% to 15% performance-per-watt increase versus Intel 10nm SuperFin, based on FinFET transistor optimisations. Intel 7 will be featured in products such as Alder Lake for client in 2021 and Sapphire Rapids for the data centre, which is expected to be in production in the first quarter of 2022.

Intel 4 fully embraces EUV lithography to print incredibly small features using ultra-short wavelength light.

With an approximately 20% performance-per-watt increase, along with area improvements, Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for client and Granite Rapids for the data centre.

Intel 3 leverages further FinFET optimisations and increased EUV to deliver an approximately 18% performance-per-watt increase over Intel 4, along with additional area improvements. Intel 3 will be ready to begin manufacturing products in the second half of 2023.

Intel 20A ushers in the angstrom era with two breakthrough technologies, RibbonFET and PowerVia.

RibbonFET, Intel’s implementation of a gate-all-around transistor, will be the company’s first new transistor architecture since it pioneered FinFET in 2011. The technology delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint.

PowerVia is Intel’s industry-first implementation of backside power delivery, optimising signal transmission by eliminating the need for power routing on the front side of the wafer.

Intel 20A is expected to ramp in 2024. The company is also excited about the opportunity to partner with Qualcomm using its Intel 20A process technology.

Beyond Intel 20A, Intel 18A is already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance.

Intel is also working to define, build and deploy next-generation High NA EUV, and expects to receive the first production tool in the industry.

The company is partnering closely with ASML to assure the success of this industry breakthrough beyond the current generation of EUV.